Distinguished Seminar: Dr. Jason Cong

0
188

Monday, April 3, 2023
3:30 p.m. – 4:30 p.m.
Building 3, Level 5, room 5220

Please join us for the next KAUST Semiconductor Initiative seminar.

Democratizing IC Designed and Customized Computing

Abstract

As we enter the era of customized computing, where customized domain-specific accelerators (DSAs) are used extensively for performance and energy efficiency. Ideally, we would like to enable every programmer should offload the compute-intensive portion of their program to one, or a set of DSAs, either pre-implemented in ASICs or synthesized on demand on programmable fabrics, such as FPGAs but integrated circuit (IC) designs remain unknown to many.  High-level synthesis (HLS) made important progress in simplifying IC designs, but it still requires the programmer to provide various pragmas, such as loop unroll, pipelining, and tiling, to define the microarchitecture of the accelerator, which is a challenging task to most software programmers.  In this talk, we present our latest research on automated accelerator synthesis and customized computing on FPGAs, ranging from microarchitecture guided optimization, such as automated generation of highly optimized systolic arrays and stencil computation engines, to more general source-to-source transformation, based on graph-based neural networks and meta learning, and finally to latency-insensitive system-level integration.

About the speaker

Jason Cong is the Volgenau Chair for Engineering Excellence Professor at the UCLA’s Computer Science Department (and a former department chair), with a joint appointment from the Electrical and Computer Engineering Department. He is the director of Center for Domain-Specific Computing (CDSC) and the director of VLSI Architecture, Synthesis, and Technology (VAST) Laboratory. Dr. Cong’s research interests include novel architectures and compilation for customizable computing, synthesis of VLSI circuits and systems, and quantum computing.  He has over 500 publications in these areas, including 16 best paper awards, and three papers in the FPGA and Reconfigurable Computing Hall of Fame. He and his former students co-founded AutoESL, which developed the most widely used high-level synthesis tool for FPGAs (renamed to Vivado HLS after Xilinx’s acquisition). He was elected as an IEEE Fellow in 2000, ACM Fellow in 2008, the National Academy of Engineering in 2017, and the National Academy of Inventors in 2020. He is the recipient of the 2022 IEEE Robert Noyce Medal for fundamental contributions to electronic design automation and FPGA design methods.

For any questions, please contact Prof. Khaled Salama and Prof. Sahika Inal.

LEAVE A REPLY